Vhdl assign std_logic_vector to integer, vhdl assign std_logic_vector to unsigned